메뉴 건너뛰기

app

[HDL] ring-counter 8비트 쉬프터

박영식2008.03.09 11:01조회 수 3500댓글 0

    • 글자 크기

verilog source code

[ring.v]

//-----------------------------------------------------
// This is my second Verilog Design
// Design Name : ring
// File Name : ring.v
// Function : This is a 8 bit ring-counter with
// Synchronous active high reset and
// with active high enable signal
//-----------------------------------------------------
module ring (
clock , // Clock input of the design
reset , // active high, synchronous Reset input
enable , // Active high enable signal for counter
counter_out // 8 bit vector output of the counter
); // End of port list
//-------------Input Ports-----------------------------
input clock ;
input reset ;
input enable ;
//-------------Output Ports----------------------------
output [7:0] counter_out ;
//-------------Input ports Data Type-------------------
// By rule all the input ports should be wires
wire clock ;
wire reset ;
wire enable ;
//-------------Output Ports Data Type------------------
// Output port can be a storage element (reg) or a wire
reg [7:0] counter_out ;


//------------Code Starts Here-------------------------
// Since this counter is a positive edge trigged one,
// We trigger the below block with respect to positive
// edge of the clock.
always @ (posedge clock)
begin : COUNTER // Block Name
  // At every rising edge of clock we check if reset is active
  // If active, we load the counter output with 8'b0000_0001
  if (reset == 1'b1) begin
    counter_out <= #1 8'b0000_0001;
  end
  // If enable is active, then we increment the counter
  else if (enable == 1'b1) begin
    counter_out <= counter_out << 1;
    counter_out[0] <= counter_out[7];
  end
end // End of Block COUNTER


endmodule // End of Module counter



[ring_tb.v]
`include "ring.v"
module ring_tb();
// Declare inputs as regs and outputs as wires
reg clock, reset, enable;
wire [7:0] counter_out;


// Initialize all variables
initial begin
  $display ("timet clk reset enable counter");
  $monitor ("%gt %b   %b     %b      %b",
          $time, clock, reset, enable, counter_out);
  clock = 1;       // initial value of clock
  reset = 0;       // initial value of reset
  enable = 0;      // initial value of enable
  #5 reset = 1;    // Assert the reset
  #10 reset = 0;   // De-assert the reset
  #10 enable = 1;  // Assert enable
  #200 enable = 0; // De-assert enable
  #5 $finish;      // Terminate simulation
end


// Clock generator
always begin
  #5 clock = ~clock; // Toggle clock every 5 ticks
end


// Connect DUT to test bench
ring U_counter (
clock,
reset,
enable,
counter_out
);


endmodule

박영식 (비회원)
    • 글자 크기
[HDL] Verilog - 4bit up/down counter 설계 (by 박영식) [js] AHAH 스크랩 (by 박영식)

댓글 달기

이전 1 ... 5 6 7 8 9 10 11 12 13 14다음
첨부 (0)
위로